SurveyMonkey

Does each respondent get assigned a response ID?

Each submitted response is assigned an ID number, called a Respondent ID. To view the Respondent ID, export your results. The numbers in the Analyze Results section show the order the responses were submitted in.

However, this is not a unique number to the respondent. It is unique to the actual response itself:

  • It does not mean that the same person will receive the same ID number if s/he submits a 2nd response.
  • The new response will be assigned its own unique number.