SurveyMonkey

Ad ogni rispondente viene assegnato un ID di risposta?

Mentre le risposte entrano nella sezione Analizza, a ciascuna risposta viene assegnato un numero ID. Questo è considerato come ID del rispondente e vedrai soltanto quei numeri sui dati esportati.

Tuttavia, questo non è un numero unico per il rispondente. È unico per la risposta effettiva stessa:

  • Non significa che la stessa persona riceverà lo stesso numero d'ID se invia una seconda risposta.
  • Alla nuova risposta sarà assegnato il proprio numero unico.